~ruther/vhdl-playing

ref: 2ea576665fe37b9973e668b99c80ad5737b1ca65 vhdl-playing/fifo/src/bin2gray.vhd -rw-r--r-- 482 bytes
2ea57666 — Rutherther feat(fifo): initial 4 months ago
                                                                                
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
library ieee;
use ieee.std_logic_1164.all;

entity bin2gray is

  generic (
    WIDTH : natural);

  port (
    bin_i : in std_logic_vector(WIDTH - 1 downto 0);
    gray_o : out std_logic_vector(WIDTH - 1 downto 0));

end entity bin2gray;

architecture a1 of bin2gray is

begin  -- architecture a1

  G(WIDTH - 1) <= bin(WIDTH - 1);
  --generate xor gates.
  xors : for i in 0 to N - 1 generate
      gray_o(i) <= bin_i(i+1) xor bin_i(i);
  end generate xors;

end architecture a1;
Do not follow this link