~ruther/jesd204b-vhdl

ref: 07a456a23f1e9839c5efd8c0b9505ae57ee8d58b jesd204b-vhdl/src/jesd204b_pkg.vhd -rw-r--r-- 422 bytes
07a456a2 — František Boháček tets: pass ci_multiframe_clk to jesd204b_link_rx 2 years ago
                                                                                
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;
use work.transport_pkg.all;
use work.data_link_pkg.all;

-- Package for jesd204b types
package jesd204b_pkg is

  -- array input data from lanes
  type lane_input_array is array (natural range <>) of std_logic_vector(9 downto 0);

  -- array for link configs used in multipoint link
  type link_config_array is array (natural range <>) of link_config;

end package jesd204b_pkg;
Do not follow this link