~ruther/nix-fpga

ref: d32ae553858be49b17fcaf188c940637d54cbb91 nix-fpga/pkgs/intel/quartus/fhs-package.nix -rw-r--r-- 1.3 KiB
d32ae553 — Rutherther chore: add license 1 year, 19 days ago
                                                                                
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
{ pkgs, myLib }:

myLib.finalPkgGenerator.override {
  mainProgram = "quartus";

  fhsEnv = pkgs.callPackage ./fhs.nix {  inherit myLib; };

  executables = [
    "clearbox"
    "dmf_ver"
    "jtagconfig"
    "jtagd"
    "jtagquery"
    "juart-terminal"
    "mega_alt_fault_injection"
    "mega_symc"
    "mega_symcng"
    "mif2hex"
    "mw-regenerate"
    "nios2-flash-programmer"
    "nios2-gdb-server"
    "nios2-terminal"
    "openocd"
    "openocd-cfg-gen"
    "pll_cmd"
    "qatc"
    "qbnl"
    "qcmd"
    "qcrypt"
    "qemit"
    "qeslc"
    "qfid"
    "qmegawiz"
    "qmegawizq"
    "qnsm"
    "qnui"
    "qpgmt"
    "qppl"
    "qred"
    "qreg"
    "qsme"
    "quartus"
    "quartus_asm"
    "quartus_cdb"
    "quartus_cmd"
    "quartus_cpf"
    "quartus_drc"
    "quartus_dse"
    "quartus_dsew"
    "quartus_eda"
    "quartus_fid"
    "quartus_fif"
    "quartus_fit"
    "quartus_hps"
    "quartus-ip-catalog"
    "quartus_jbcc"
    "quartus_jli"
    "quartus_map"
    "quartus_npp"
    "quartus_pgm"
    "quartus_pgmw"
    "quartus_pow"
    "quartus_py"
    "quartus_sh"
    "quartus_si"
    "quartus_sim"
    "quartus_sta"
    "quartus_staw"
    "quartus_stp"
    "quartus_stp_tcl"
    "quartus_stpw"
    "quartus_syn"
    "quartus_template"
    "quartus_worker"
    "qwed"
    "tclsh"
    "uniphy_mcc"
    "wish"
    "xcvr_diffmifgen"
  ];
}
Do not follow this link