~ruther/jesd204b-vhdl

ref: 87f51b4abb1911b51600255fcf9d1878eefbd28e jesd204b-vhdl/src/jesd204b_pkg.vhd -rw-r--r-- 244 bytes
87f51b4a — František Boháček chore: add documentation to the code 2 years ago
                                                                                
1
2
3
4
5
6
7
8
9
10
library ieee;
use ieee.std_logic_1164.all;

-- Package for jesd204b types
package jesd204b_pkg is

  -- array input data from lanes
  type lane_input_array is array (natural range <>) of std_logic_vector(9 downto 0);

end package jesd204b_pkg;
Do not follow this link