~ruther/jesd204b-vhdl

ref: 49daf0ff48b967be3671edb946a046b5270082f1 jesd204b-vhdl/src/data_link/data_link_pkg.vhd -rw-r--r-- 524 bytes
49daf0ff — František Boháček feat(link): use character_vector in 8b10b decoder 2 years ago
                                                                                
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

package data_link is

  type character_vector is record
    kout            : std_logic;  -- Whether the character is a control character
    disparity_error : std_logic;  -- Whether there was a disparity error (if this is true, the character will still be correct)
    missing_error   : std_logic;  -- Whether the character was not found in the table
    d8b             : std_logic_vector(7 downto 0);  -- The decoded data
  end record character_vector;

end package data_link;
Do not follow this link