~ruther/jesd204b-vhdl

357cacf6c8198b05dbfce04a3b8fc3e675315aac — František Boháček 2 years ago 121c5fe
fix(link): rename data_link package to data_link_pkg
1 files changed, 2 insertions(+), 2 deletions(-)

M src/data_link/data_link_pkg.vhd
M src/data_link/data_link_pkg.vhd => src/data_link/data_link_pkg.vhd +2 -2
@@ 1,7 1,7 @@
library ieee;
use ieee.std_logic_1164.all;

package data_link is
package data_link_pkg is

  type character_vector is record
    kout            : std_logic;  -- Whether the character is a control character


@@ 10,4 10,4 @@ package data_link is
    d8b             : std_logic_vector(7 downto 0);  -- The decoded data
  end record character_vector;

end package data_link;
end package data_link_pkg;

Do not follow this link