From 357cacf6c8198b05dbfce04a3b8fc3e675315aac Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Franti=C5=A1ek=20Boh=C3=A1=C4=8Dek?= Date: Sun, 6 Nov 2022 20:43:18 +0100 Subject: [PATCH] fix(link): rename data_link package to data_link_pkg --- src/data_link/data_link_pkg.vhd | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/data_link/data_link_pkg.vhd b/src/data_link/data_link_pkg.vhd index e142f17..0be8f83 100644 --- a/src/data_link/data_link_pkg.vhd +++ b/src/data_link/data_link_pkg.vhd @@ -1,7 +1,7 @@ library ieee; use ieee.std_logic_1164.all; -package data_link is +package data_link_pkg is type character_vector is record kout : std_logic; -- Whether the character is a control character @@ -10,4 +10,4 @@ package data_link is d8b : std_logic_vector(7 downto 0); -- The decoded data end record character_vector; -end package data_link; +end package data_link_pkg; -- 2.48.1