~ruther/jesd204b-vhdl

0347fe6a1c71af24c58e593d01c629b2f3bffde2 — František Boháček 1 year, 10 months ago 5f5fdd8
chore: remove unnecessary buff triple from ring_buffer
1 files changed, 0 insertions(+), 1 deletions(-)

M src/data_link/ring_buffer.vhd
M src/data_link/ring_buffer.vhd => src/data_link/ring_buffer.vhd +0 -1
@@ 29,7 29,6 @@ end entity ring_buffer;
architecture a1 of ring_buffer is
	 constant BIT_BUFFER_SIZE : integer := CHARACTER_SIZE*BUFFER_SIZE-1;
    signal buff : std_logic_vector(CHARACTER_SIZE*BUFFER_SIZE-1 downto 0);
    signal buff_triple : std_logic_vector(3*CHARACTER_SIZE*BUFFER_SIZE-1 downto 0);
    signal next_read : std_logic_vector(CHARACTER_SIZE*BUFFER_SIZE-1 downto 0);
    signal read_position : integer := 0;
    signal adjusted_read_position : integer := 0;

Do not follow this link