From 0347fe6a1c71af24c58e593d01c629b2f3bffde2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Franti=C5=A1ek=20Boh=C3=A1=C4=8Dek?= Date: Thu, 18 May 2023 18:39:13 +0200 Subject: [PATCH] chore: remove unnecessary buff triple from ring_buffer --- src/data_link/ring_buffer.vhd | 1 - 1 file changed, 1 deletion(-) diff --git a/src/data_link/ring_buffer.vhd b/src/data_link/ring_buffer.vhd index 5e69be97b22d5763dfd21e27a6ded600b1375086..48329994fa9d5371fc0f5fd9b2b523bd628588f7 100644 --- a/src/data_link/ring_buffer.vhd +++ b/src/data_link/ring_buffer.vhd @@ -29,7 +29,6 @@ end entity ring_buffer; architecture a1 of ring_buffer is constant BIT_BUFFER_SIZE : integer := CHARACTER_SIZE*BUFFER_SIZE-1; signal buff : std_logic_vector(CHARACTER_SIZE*BUFFER_SIZE-1 downto 0); - signal buff_triple : std_logic_vector(3*CHARACTER_SIZE*BUFFER_SIZE-1 downto 0); signal next_read : std_logic_vector(CHARACTER_SIZE*BUFFER_SIZE-1 downto 0); signal read_position : integer := 0; signal adjusted_read_position : integer := 0;