~ruther/vhdl-fir-filters

44b140fc9fe2124b04966cb6845c2696b69a8d47 — Rutherther 1 year, 1 month ago 8eec5b6
feat: add initial filter just for copying input to output
1 files changed, 22 insertions(+), 0 deletions(-)

A src/filter.vhd
A src/filter.vhd => src/filter.vhd +22 -0
@@ 0,0 1,22 @@
library ieee;
use ieee.std_logic_1164.all;

entity filter is
  generic (
    RESOLUTION : natural);

  port (
    clk_i    : in std_logic;
    rst_in   : in std_logic;
    signal_i : in std_logic_vector(RESOLUTION - 1 downto 0);
    signal_o : out std_logic_vector(RESOLUTION - 1 downto 0));

end entity filter;

architecture a1 of filter is

begin  -- architecture a1

  signal_o <= signal_i;

end architecture a1;

Do not follow this link