From 8f631f51a777c2aa139b677706608f2189c091a3 Mon Sep 17 00:00:00 2001 From: Rutherther Date: Sat, 28 Oct 2023 19:18:59 +0200 Subject: [PATCH] refactor: move memory out of cpu The cpu will have external memory, that will allow for better testing capabilities, and also makes more sense. --- src/cpu.sv | 42 ++++++++++++++++++++++++------------------ 1 file changed, 24 insertions(+), 18 deletions(-) diff --git a/src/cpu.sv b/src/cpu.sv index 6828909..e751430 100755 --- a/src/cpu.sv +++ b/src/cpu.sv @@ -1,8 +1,18 @@ import cpu_types::*; module cpu( - input clk, - input rst_n + input clk, + input rst_n, + + // program memory + input [31:0] instruction, + output reg [31:0] pc, + + // ram + input [31:0] memory_address, + input [31:0] memory_out, + output reg [31:0] memory_write, + output reg memory_we ); parameter WIDTH = 32; @@ -26,10 +36,6 @@ module cpu( wire [1:0] reg_write_src; wire reg_we; - wire [31:0] memory_address; - wire [31:0] memory_out, memory_write; - wire memory_we; - wire [31:0] immediate; wire jump_instruction, jump_negate_zero; @@ -135,16 +141,16 @@ module cpu( .pc_next(pc_next[11:0]) ); - program_memory program_memory_inst( - .addr(pc[11:0]), - .instruction(instruction) - ); - - ram memory_inst( - .clk(clk), - .a(memory_address), - .we(memory_we), - .wd(memory_write), - .rd(memory_out) - ); + // program_memory program_memory_inst( + // .addr(pc[11:0]), + // .instruction(instruction) + // ); + + // ram memory_inst( + // .clk(clk), + // .a(memory_address), + // .we(memory_we), + // .wd(memory_write), + // .rd(memory_out) + // ); endmodule -- 2.48.1