From a858593e2bfb37cfb8d1f0b0c5b61bbefdd1abeb Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Franti=C5=A1ek=20Boh=C3=A1=C4=8Dek?= Date: Mon, 7 Nov 2022 20:56:09 +0100 Subject: [PATCH] feat(link): add ilas parser declaration --- src/data_link/ilas_parser.vhd | 31 +++++++++++++++++++++++++++++++ 1 file changed, 31 insertions(+) create mode 100644 src/data_link/ilas_parser.vhd diff --git a/src/data_link/ilas_parser.vhd b/src/data_link/ilas_parser.vhd new file mode 100644 index 0000000..13fc1f2 --- /dev/null +++ b/src/data_link/ilas_parser.vhd @@ -0,0 +1,31 @@ +library ieee; +use ieee.std_logic_1164.all; +use work.data_link_pkg.all; + +entity ilas_parser is + generic ( + R_character : std_logic_vector(7 downto 0) := "00011100"; + A_character : std_logic_vector(7 downto 0) := "01111100"; + frames_count : integer := 4); + + port ( + ci_char_clk : in std_logic; + ci_reset : in std_logic; + ci_F : in integer range 0 to 256; + ci_state : in link_state; + di_char : in character_vector; + do_config : out link_config; + co_finished : out std_logic; + co_error : out std_logic; + co_wrong_chksum : out std_logic; + co_unexpected_char : out std_logic); + +end entity ilas_parser; + +architecture a1 of ilas_parser is + +begin -- architecture a1 + + + +end architecture a1; -- 2.49.0